刘丽华, 管武, 梁利平. 串行SCL极化码译码器[J]. 微电子学与计算机, 2018, 35(12): 64-69.
引用本文: 刘丽华, 管武, 梁利平. 串行SCL极化码译码器[J]. 微电子学与计算机, 2018, 35(12): 64-69.
LIU Li-hua, GUAN Wu, LIANG Li-ping. A Serial Successive-Cancellation List Decoder of Polar Codes[J]. Microelectronics & Computer, 2018, 35(12): 64-69.
Citation: LIU Li-hua, GUAN Wu, LIANG Li-ping. A Serial Successive-Cancellation List Decoder of Polar Codes[J]. Microelectronics & Computer, 2018, 35(12): 64-69.

串行SCL极化码译码器

A Serial Successive-Cancellation List Decoder of Polar Codes

  • 摘要: 基于列表的极化码串行抵消译码算法(SCL算法)可以改善中短码长的误码性能, 但其递归结构大大降低了译码吞吐率, 但同时也带来了大的硬件复杂度和硬件资源消耗.本文提出了非递归结构的基于似然比的列表串行抵消译码算法(LLR-SCL算法), 设计了码长为1 024比特、搜索路径为2的LLR-SCL译码器.仿真测试表明, 该译码器具有较好的误码性能, 且在Xilinx XC7V2000 FPGA上主频可以达到227 MHz, 占用硬件资源较低, 复杂度小.

     

    Abstract: The successive cancellation list (SCL) algorithm can improve the decoding performance of polar codes with short and moderate code lengths. However the recursive structure results in the lower throughput, large hardware complexity and cost. A LLR-SCL arithmetic based non-recursive structure is proposed. And a LLR-SCL decoder of length 1 024 and list size L=2 is designed. The simulation result shows the error performance is good. Also, the proposed LLR-SCL decoder is implemented under Xillinx XC7V2000 FPGA. The synthesis result shows that the utilization rate of hardware resources is low and the frequency is up to 227 MHz.

     

/

返回文章
返回