• 北大核心期刊(《中文核心期刊要目总览》2017版)
  • 中国科技核心期刊(中国科技论文统计源期刊)
  • JST 日本科学技术振兴机构数据库(日)收录期刊

留言板

尊敬的读者、作者、审稿人, 关于本刊的投稿、审稿、编辑和出版的任何问题, 您可以本页添加留言。我们将尽快给您答复。谢谢您的支持!

姓名
邮箱
手机号码
标题
留言内容
验证码

SRAM存内计算技术综述

龚龙庆 徐伟栋 娄冕

龚龙庆, 徐伟栋, 娄冕. SRAM存内计算技术综述[J]. 微电子学与计算机, 2021, 38(9): 1-7.
引用本文: 龚龙庆, 徐伟栋, 娄冕. SRAM存内计算技术综述[J]. 微电子学与计算机, 2021, 38(9): 1-7.
GONG Longqin, XU Weidong, LOU Mian. An overview of SRAM in-memory computing[J]. Microelectronics & Computer, 2021, 38(9): 1-7.
Citation: GONG Longqin, XU Weidong, LOU Mian. An overview of SRAM in-memory computing[J]. Microelectronics & Computer, 2021, 38(9): 1-7.

SRAM存内计算技术综述

详细信息
    作者简介:

    龚龙庆  男,(1962-),研究员,博士生导师. 研究方向为空间嵌入式计算机设计与应用技术. E-mail: glq771@sina.com

    徐伟栋  男,(1993-),博士研究生. 研究方向为存内计算技术

    娄冕  男,(1987-),博士,高级工程师. 研究方向为IC设计技术

  • 中图分类号: TP3

An overview of SRAM in-memory computing

  • 摘要:

    在处理深度神经网络这类数据密集型应用的过程中,处理器和存储器间大量数据的频繁传输会造成严重的性能损耗和能量消耗,也是当前冯·诺伊曼架构最大的瓶颈.针对传统冯·诺伊曼体系架构的局限性,基于SRAM的存内计算技术将运算单元集成到内存中,支持数据的即存即算,彻底突破了冯·诺伊曼瓶颈,有望成为新一代智能计算架构.本文从体系结构的角度阐明了冯·诺伊曼架构所引起的“功耗墙”和“存储墙”问题,并给出了存内计算技术的兴起原因.文章围绕近几年国内外关于SRAM存内计算架构的研究,以其中几种经典架构为例描述了各类SRAM存内计算的工作机理、优缺点及意义,并从器件级、电路级和架构级的角度分别概述了目前关于SRAM存内计算技术的关键影响因素.SRAM存内计算技术潜力巨大,用途广泛,将会给机器学习应用,图计算应用和基因工程提供高效低能耗的系统结构支持,最后展望了未来几年内SRAM存内计算技术在器件、电路和架构方面的发展情况.

     

  • 图 1  冯·诺伊曼架构和冯·诺伊曼瓶颈

    图 2  8T转置单元

    图 3  分裂式字线6T单元

    图 4  分比特乘法计算单元

    图 5  双生8T SRAM单元

    图 6  时域神经网络协处理器

    图 7  三明治式SRAM架构

    图 8  有限的信号容限

  • [1] RACONTEUR. A day in data[EB/OL]. [2020-06-04]. https://www.raconteur.net/infographics/a-day-in-data/.
    [2] REINSEL D, GANTZ J, RYDNING J. Data age 2025: The digitization of the world from edge to core[R]. IDC White Paper-#US44413318.
    [3] WANG J C, WANG X W, ECKERT C, et al. A 28-nm compute SRAM with Bit-Serial logic/arithmetic operations for programmable in-memory vector computing[J]. IEEE Journal of Solis-State Circuits, 2020, 55(1): 76-86. DOI: 10.1109/JSSC.2019.2939682.
    [4] SI X, KHWAW S, CHENJ J, et al. A dual-split 6T SRAM-based computing-in-memory unit-macro with fully parallel product-sum operation for binarized DNNedge processors[J]. IEEE Transactions on Circuits and Systems I: Regular Papers, 2019, 66(11): 4172-4185. DOI: 10.1109/TCSI.2019.2928043.
    [5] SI X, TU Y N, HUANGW H, et al. 15.5 A 28nm 64Kb 6T SRAM computing-in-memory macro with 8b MAC operation for AI edge chips[C]//2020 IEEE International Solid-State Circuits Conference (ISSCC). San Francisco, CA, USA: IEEE, 2020: 246-248. DOI: 10.1109/ISSCC19947.2020.9062995.
    [6] SI X, CHEN J J, TUY N, et al. 24.5A twin-8T SRAM computation-in-memory macro for multiple-bit CNN-based machine learning[C]//2019 IEEE International Solid-State Circuits Conference. San Francisco, CA, USA: IEEE, 2019: 396-398. DOI: 10.1109/ISSCC.2019.8662392.
    [7] MIYASHITA D, KOUSAI S, SUZUKI T, et al. A Neuromorphic chip optimized for deep learning and CMOS technology with time-domain analog and digital mixed-signal processing[J]. IEEE Journal of Solid-State Circuits, 2017, 52(10): 2679-2689. DOI: 10.1109/JSSC.2017.2712626.
    [8] YANG J, KONG Y Y, WANG Z, et al. 24.4 sandwich-RAM: An energy-efficient in-memory BWN architecture with pulse-width modulation[C]//2019IEEE International Solid-State Circuits Conference. San Francisco, CA, USA: IEEE, 2019: 394-396. DOI: 10.1109/ISSCC.2019.8662435.
    [9] NGUYENVT, KIMJS, LEEJW. 10T SRAM computing-in-memory macros for binary and multibit MAC operation of DNN edge processors[J]. IEEE Access, 2021(9): 71262-71276. DOI: 10.1109/ACCESS.2021.3079425.
    [10] ZHANGY Q, XU L, DONG Q, et al. Recryptor: A reconfigurable cryptographic cortex-M0 processor with in-memory and near-memory computing for IoTsecurity[J]. IEEE Journal ofSolid-State Circuits, 2018, 53(4): 995-1005. DOI: 10.1109/JSSC.2017.2776302.
    [11] JIANG Z W, YIN S H, SEOK M, et al. XNOR-SRAM: In-memory computing SRAM macro for binary/ternary deep neural networks[C]//2018 IEEE Symposium on VLSI Technology. Honolulu, HI, USA: IEEE. 2018: 173-174. DOI: 10.1109/VLSIT.2018.8510687.
    [12] DONG Q, JELOKA S, SALIGANEM, et al. A 0.3 V VDDmin 4+2T SRAM for searching and in-memory computing using 55 nm DDC technology[C]//2017 Symposium on VLSI Circuits. Kyoto, Japan, 2017: C160-C161. DOI: 10.23919/VLSIC.2017.8008465.
    [13] BISWAS A, CHANDRAKASANA P. Conv-RAM: An energy-efficient SRAM with embedded convolution computation for low-power CNN-based machine learning applications[C]// 2018 IEEE International Solid-State Circuits Conference (ISSCC). San Francisco, CA, USA: IEEE, 2018: 488-490. DOI: 10.1109/ISSCC.2018.8310397.
    [14] GONUGONDLA S K, KANG M G, SHANBHAGN. A 42 pJ/decision 3.12TOPS/W robust in-memory machine learning classifier with on-chip training[C]//2018IEEE International Solid-State Circuits Conference (ISSCC). San Francisco, CA, USA: IEEE, 2018: 490-492. DOI: 10.1109/ISSCC.2018.8310398.
    [15] ZHANG Z X, CHEN J J, SIX, et al. A 55nm 1-to-8 bit configurable 6T SRAM based computing-in-memory unit-macro for CNN-based AI edge processors[C]//2019 IEEE Asian Solid-State Circuits Conference (A-SSCC). Macau, Macao, China: IEEE, 2019: 217-218. DOI: 10.1109/A-SSCC47793.2019.9056933.
    [16] GUO R Q, LIU Y G, ZHENG S Y, et al. A 5.1pJ/Neuron 127.3 us/inference RNN-based speech recognition processor using 16 computing-in-memory SRAM macros in 65nm CMOS[C]//2019 Symposium on VLSI Circuits. Kyoto, Japan: IEEE, 2019: C120-C121. DOI: 10.23919/VLSIC.2019.8778028.
    [17] ECKERT C, WANG X W, WANG J C, et al. Neural cache: bit-serial in-cache acceleration of deep neural networks[C]//2018 ACM/IEEE 45th Annual International Symposium on Computer Architecture (ISCA). Los Angeles, CA, USA: IEEE, 2018: 383-396. DOI: 10.1109/ISCA.2018.00040.
    [18] YUC S, YOOT, KIM T T, et al. A 16K current-based 8T SRAM compute-in-memory macro with decoupled read/write and 1-5bit column ADC[C]//2020 IEEE Custom Integrated Circuits Conference (CICC). Boston, MA, USA: IEEE, 2020: 1-4. DOI: 10.1109/CICC48029.2020.9075883.
    [19] XUE C X, CHEN W H, LIU Y S, et al. A 1Mb MultibitReRAM computing-in-memory macro with 14.6ns parallel MAC computing time for CNN based AI edge processors[C]//2019IEEE International Solid-State Circuits Conference (ISSCC). San Francisco, CA, USA: IEEE, 2019: 388-390. DOI: 10.1109/ISSCC.2019.8662395.
  • 加载中
图(8)
计量
  • 文章访问数:  1422
  • HTML全文浏览量:  1585
  • PDF下载量:  407
  • 被引次数: 0
出版历程
  • 收稿日期:  2021-01-20
  • 修回日期:  2021-03-10

目录

    /

    返回文章
    返回